fix missing equal sign on assignment

This commit is contained in:
Asif Bacchus 2020-03-26 02:34:58 -06:00
parent 5836cbd7e7
commit 3fc67f9fe3
1 changed files with 1 additions and 1 deletions

View File

@ -19,7 +19,7 @@ textblock() {
### text formatting presets
err=$(tput bold)$(tput setaf 1)
norm$(tput sgr0)
norm=$(tput sgr0)
width=$(tput cols)